в число с плавающей точкой в двоичном в VHDL

M

mariajohn

Guest
Хай

Мне нужно knw это возможно преобразовать число с плавающей точкой в двоичное представление и наоборот ....
И. помощью Xilinx ISE 9.2i ....
PLZ помочь .....
Последняя редакция mariajohn по 27 сентября 2009 14:21; редактировалось 1 раз в общей сложности

 
Firma NXP oraz Freescale planują połączenie w drugiej połowie 2015 roku. Dzięki współpracy obie firmy mają skupić się na optymalizacji produkowanych chipów.

Read more...
 
Можете ли вы объяснить, что вы действительно означает?Я уверен, вы найдете ответ на Wikipedia:
http://en.wikipedia.org/wiki/Floating_point

С уважением,
/ Фархад Abdolian

 
Хай
Я делаю мой проект, который занимается осуществлением ПИД регулятора в ПЛИС ...
Дать им в настоящее время ее кодирования рассмотрении целого входа ....
но я хочу дать плавающей точкой и городские номера в качестве входных данных ....
так оно posiible делать операции с плавающей точкой в VHDL??

И. помощью Xilinx ISE 9.2i .....
Спасибо и рассматривает
Мария

 
HI Мария,
Я очень рекомендую вам прочитать следующие документы:

http://www.ccm.ece.vt.edu/papers/shirazi_1995_FCCM95_quantitative.pdf

Вы также можете найти открытым исходным FPGA библиотеке на веб-сайте этой компании:

http://optngn.com/place

Чтение этого документа даст вам хорошее представление о том, что можно сделать внутри ПЛИС, а также для осуществления, можно использовать коды в библиотеке OPTNGN сайте.

Надеемся, что оно помогает,

С уважением,
/ Фархад Abdolian
Последняя редакция farhada по 20 октября 2009 21:44; редактировалось 1 раз в общей сложности

 
большое спасибо
Я был не в состоянии получить, что сайт на первые, но сегодня я получил, что ...
Спасибо еще раз

 
3 Темы могут быть выбраны.
1.Вы должны разработать конкретные применение процессора, который касается высокоскоростного с плавающей точкой.
Тогда вам будет лучше взять Core IP.Core от Xilinx Coregen будет делать.
2.Обычный процессор.
Тогда вы сделаете подпрограмма для микроконтроллеров используя обычный алгоритм.
3.Вы должны проверить ваш проект с испытательным векторы, которые являются вещественные числа.
Тогда вы пишете обычный тип преобразования вызова функции, как
Ystdv <= conv_std_logic_vector (целое число (Data_in * ScaleCoef), 32);

 

Welcome to EDABoard.com

Sponsor

Back
Top